COEN 212 and COEN 313: Digital Systems Design I and II
Administrator: Tadeusz Obuchowicz Location: COEN 212 = H1029-1 COEN 313 = H913
Courses: COEN 212, COEN 313  

Digital System Design I - COEN 212

AND, OR, NOT, BCD-7SEG-DECODERS, MUX, FLIP-FLOPS and more.
Are these terms strange to you? If you want to learn the basics of digital logic,
the COEN 212 lab will teach you.  This lab consists of a hands-on approach to learning the fundamentals of digital logic  design.  You will design and build and test basic circuits using TTL SSI (small scale integration) devices such as the:

  • 7400 : QUAD 2-input NAND gate
  • 7404 : Hex Inverter
  • 7408 : QUAD 2-input AND gate
  • 7473: Dual JK Flip-Flop
  • etc.


Minimization techniques such as the K-Map two-level minimization method and
sequential circuit design will be explored in the lab. 

The lab consists of 16 stations each equipped with a PB-503 Analog/Digital Proto-Board from Global Specialties.  This state-of-the-art digital logic prototyping environment contains :

  •  a large breadboarding area,
  •  a fixed +5 V DC power supply,
  •  a bank of eight LEDS to provide logic indication capability (LED light  indicates  a "logic one"),
  •  debounced pushbuttons to provide a manual clock input,
  •  as well as 8 DIP switches used to provide  digital input to your circuit under test. 


The labs range from simple combinational logic design such as:

A     B     C      output
----------------
0     0       0          0
0     0       1          1
0     1       0          0
0     1       1          1
1      0      0          0
1      0      1          1
1      1      0          0
1      1      1          1


which has as its corresponding K-Map:

       BC
    \
A   \------------------------------
      |                |                   |                   |                 |
      |      0        |        1         |         1       |        0       |
      |                |                   |                   |                 |
      |                |                   |                   |                 |
      ------------------------------
      |                |                   |                   |                 |
      |    0          |         1        |        1        |        0       |
      |                |                   |                   |                 |
      |                |                   |                   |                 |
       ------------------------------

which can be minimized to output = C. More advanced labs guide the student through the design of circuits employing MSI (Medium Scale Integration) components, to seuqential circuit design with latches and flip-flops as well as various digital counter circuits.

Digital System Design II : COEN 313


It's Only VHDL (But I Like It) - no this isn't the title of some Rolling Stones song, it is how you will feel after completing the COEN 313 labs.  VHDL (Very High Speed Integrated Circuit Hardware Description Language) has revolutionized the way digital design is performed.  The methods introduced in COEN 312 are there to lay the foundation - real world digital design is done with hardware description languages and logic synthesis tools ; no more Boolean minimization, no more K-Maps and state transition diagrams and JK Flip-Flop excitation tables to memorize. With VHDL, you can design complex digital circuits rapidly and even simulate your design before implementing it on a Field-Programmable Gate Array. 

Are you curious to learn more about VHDL. Take this lab and you will be able to write your own VHDL code such as this:

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity count3 is
  port( clk, resetn, count_en : in std_logic;
        sum                   : out std_logic_vector(2 downto 0);
        cout                  : out std_logic);
end count3;

architecture rtl of count3 is
signal count : std_logic_vector(2 downto 0);
begin

  process(clk, resetn)
  begin
    if resetn = '0' then
       count <= (others => '0');
    elsif clk'event and clk = '1' then
       if count_en = '1' then
          count <= count + 1;
       end if;
    end if;
  end process;
 
  sum <=  not count; -- invert the outputs for the demo board
                     -- since its LEDs are active low


  cout <= '0' when count = 7 and count_en = '1' else '1';


end rtl;


The tools used in the COEN 313 lab are:

  • Precision RTL FPGA logic synthesis from Mentor Graphics,
  • Xilinx ISE to perform place and route,
  • Xilinx Impact to download your design onto a Xilinx FPGA board.

The hardware consists of 16 individual Xilinx XC2VP30 FPGA demonstration boards which are programmed using a Compact Flash card together with the Xilinx Impact software.


COEN 311: Computer Organization & Software Lab
Administrator: Tadeusz Obuchowicz Location: H813.
Courses: COEN 311  

The COEN 311 lab uses the Axiom CMM332 Microcontroller board. In this lab the students learn the basics of programming in assembly language.  The lab consists of 16 individual CMM332 microcontroller boards.  Software development tools include the Windows based AS32 assembler program and the AxIDE software which comes with a single-step debugger and download utilities.  Typical use of these software tools is to edit an ASCII text assembly language program such as:
* Experiment 1 - T. Obuchowicz,   July 27, 2006

        ORG $3000
        CLR.L D1
        CLR.L D2
        CLR.L D3
        CLR.L D4
        MOVE.B  #$01,D1
        MOVE.B  #$02,D2
        MOVE.B  #$03,D3
START
        ADD     D1,D1
        ADD     D2,D2
        ADD     D2,D3
        MULS    D1,D3
        DIVS    D2,D1
        SUB     D0,D0
        BEQ     START
        END

Once created, it may be assembed to create a listing file as well as the S-Record format which is downloaded to the microcontroller.  An example listing file is given below. The listing contains the address and the machine code in hexadecimal format stored at that location.   The listing file also contains the assembly language source code which produced the machine code:

ted@brownsugar EXP1 3:06pm >more exp1.lst
                        * Experiment 1
                        * T. Obuchowicz
                        * July 27, 2006
                       
00003000                        ORG $3000
00003000 4281                   CLR.L D1
00003002 4282                   CLR.L D2
00003004 4283                   CLR.L D3
00003006 4284                   CLR.L D4
                       
00003008 123c 0001              MOVE.B  #$01,D1
0000300c 143c 0002              MOVE.B  #$02,D2
00003010 163c 0003              MOVE.B  #$03,D3
                       
                        START
00003014 d241                   ADD     D1,D1
00003016 d442                   ADD     D2,D2
00003018 d642                   ADD     D2,D3
0000301a c7c1                   MULS    D1,D3
0000301c 83c2                   DIVS    D2,D1
0000301e 9040                   SUB     D0,D0
00003020 67f2                   BEQ     START
                                END
  =====     0 Error(s)
 =====     0 Warning(s)
ted@brownsugar EXP1 3:08pm >

The S-Record format file contains similar information to that contained in the .lst file shown above, the difference is that the S-Record format file contains additional information in the form of block lengths, checksum information to ensure correct data transfer.  Here is the S-Record for the sample program:

ted@brownsugar EXP1 3:11pm >more EXP1.S19
S00B00000000000000000000F4
S12330004281428242834284123C0001143C0002163C0003D241D442D642C7C183C29040C6
S105302067F251
S705205C00007E
ted@brownsugar EXP1 3:11pm >

In this lab you will learn how to write simple MC68000 Assembly language programs ranging from simple addition of two numbers to more complex programs which control a  Liquid Crystal Display  (LCD) output device. 

If you ever were curious as to what controls your microwave open or your antl-lock brake system in your car, take this course and learn how!  


COEN 315: Digital Electronics
Administrator: Tadeusz Obuchowicz Location: H-859
Courses: COEN 315  

The COEN 315 lab deals with the design and analysis of digital logic circuits at the transistor level.  The lab is equipped with prototyping equipment in the form of breadboards and test and measurement equipment such as oscilloscopes, multimeters, power supplies, and function generators.  Analysis of circuit performance in terms of propagation delays, noise margins, rise and fall times are performed in the lab. The topics covered in the experiments are:

  • DC and AC characteristics of the CMOS inverter
  • CMOS NAND gate characteristics
  • ring oscillators
  • CMOS pass transistor circuits


The CD4007C Dual Complementary Pair integrated circuit is used in all the experiments.  The CD4007C device contains three discrete N-channel enhancement mode MOS transistors and three discrete P-channel MOS trnasistors.  It is packaged in a 14 pin dual-inline package. 


COEN 317: Microprocessor Systems
Administrator: Tadeusz Obuchowicz Location: H859
Courses:  

The microprocessor systems lab has the goal of building a complete microprocessor based system that records voice input and plays it back.  The COEN 317 lab is accessible only at the scheduled lab hours.  It is equipped with 6 stations.  Each setup is equipped with :

  • a multi-channel logic analyzer (Tektronix TLA 600 series)
  • a digital storage oscilloscope
  • a digital mutimeter
  • power supplies, wire wrapping equipment
  •  a custom-designed MC68000 based microprocessor board

The COEN 317 labs constitute a semester long project which is divided into 6 experiments.  Some of the experiments require several lab sessions to complete.  The 6 experiments cover material from the following topics:

  • wire wrapping techniques and logic analyzer operation
  • timing analysis and static RAM read/write bus cycles
  • address decoding
  • dynamic ram timing and refresh circuitry
  • vectored interrupts
  • parallel port interface

Each experiment continues from the previous one. 


COEN 445: Communications Networks and Protocols
Administrator: Dan Li Location: H919
Courses:  

In the lab some network analyzers, i.g. Wireshark are installed to analysis network topologies, communications protocols basics in Local Area Networks (LANs). It can help students understand layered architecture standards (OSI and TCP/IP) and protocols.

The network protocol analyzer lets students to see what\'s happening on a network at a microscopic level. It is the de facto (and often de jure) standard across many industries and educational institutions.


COEN 451: VLSI Circuit Design
Administrator: Tadeusz Obuchowicz Location: H915
Courses: COEN 451  

The lab component of this course consists of scheduled lab sessions.  The students are exposed to state-of-the-art CAD tools used in VLSI design.  The labs emphasize VLSI layout of CMOS digital logic and simulation with industry standard tools such as HSPICE.  The software used includes:

  • Cadence Analog Artist
  • HSPICE
  • Cadence Virtuoso Layout Editor

Circuits and Systems Lab
Administrator: Location: H-822
Courses: ELEC273, ELEC275,ELEC370  

THE BASIC CIRCUITS & SYSTEMS LAB located in the Hall Building provides the laboratory component of the following core courses : ELEC273 ( Basic Circuit Analysis), ELEC275 (Principles of Elec.Engg.) and Modeling & Analysis of Linear Physical Systems( ELEC370). ELEC273 (offered in the Fall & Winter terms) and ELEC370 (offered in the Winter & Summer terms) are taken by EE majors, whereas ELEC275 (offered in the Fall, Winter and Summer terms) are taken by those in the Civil, Building and Software Engineering programs. The lab has 10 stations with 2 students working at each station. Basic instruments used in these labs include a digital storage oscilloscope(Tektronix TDS320), digital multimeters( Fluke 8010A, Agilent 34405A & Omega HHM1), a function generator( Instek GFG8216A), AC & DC Power supplies and Wattmeters. Printout of the oscilloscope displays from the individual stations are obtained from a laser printer(HP 4050).Each of these labs consists of 5 experiments, each set being described in the appropriate lab manual. Lab manuals are regularly updated and are sold in the university bookstore. The labs are performed using the aforementioned equipment together with specific experimental rigs. Lab sessions, for each lab section, are held every alternate week during the Fall & Winter terms, and every week during the Summer term. Students write their reports individually using a specified report booklet, which is also sold in the bookstore. The lab experiments reinforce the material taught in the classroom on a practical level. Students obtain experience in the use of measuring instruments , in data handling and in the writing of engineering reports.


Communications Lab
Administrator: Location: H-801
Courses: ELEC363, ELEC462  

THE COMMUNICATIONS SYSTEMS LAB located in H801 in the Hall Building, provides the laboratory component of the courses ELEC363 (Fundamentals of Telecommunication Systems) and ELEC462 (Introduction to Digital Communication). The lab has a capacity of 8 stations with 2 students per station. Students submit individual reports. The labs consist of 5 experiments each , performed using the LabVolt 9400-Series Communication Lab equipment. Other instruments used are the Agilent N9320B Spectrum Analyzer and the Tektronix TDS3000 Series Storage Oscilloscope. The experiments for ELEC363 include Signal representation in the time & frequency domains, generation and reception of AM & FM signals, Pulse Modulation (PAM,PWM,PPM), Sampling and Coding (PCM) techniques. The experiments for ELEC462 include Waveform Coding (DPCM,DM), Baseband Data Transmission, BPSK and BFSK Modulation, The experiments are fully described in the relevant manuals which are provided online to students. A practical lab test, on an individual basis, is given only to students of ELEC363 after all the labs have been completed .


Controls Lab
Administrator: Location: H-832-6
Courses: ELEC 372  

THE CONTROL SYSTEMS LAB located in H832-6 in the Hall Building, provides the laboratory component of the course ELEC372 (Fundamentals of Control Systems). ELEC372 is offered in the Fall and Winter terms. The lab has a capacity of 8 stations with 2 students per station. The lab consists of 5 experiments performed using the ECP(Educational Control Products) Model 220 Industrial Emulator servo-trainer which is used in many universities in the USA and Canada. This is a rotary position-control-system in which the angular position of a turntable is controlled through a digital feedback controller loop involving a PC.A DSP board within the PC allows the use of various control modes [ PI, PD, PID etc] and an analog emulation of each mode is provided with a graphical-user-interface. The controller modes, coefficients and various excitation inputs may be set, using the PC keyboard and mouse, through this interface. Input/Output displays of the motion responses as well as other variables such as the \'error\' signal can be saved (on the PC as well as on a USB key) through an available \'screen capture\' facility. The experiments are described in the lab manual, which is sold in the university bookstore. Each lab section is held every alternate week and students write individual reports. The topics of the experiments include Open-Loop & Closed-Loop Step Response test , Closed-Loop Frequency Response tests, Effect of Proportional, Integral and Derivative feedback , PID Control, Disturbance Control, and Lead & Lag Compensation. A practical lab test is given to students, on an individual basis, after all the labs have been completed .

Details of these experiments can be found in the ELEC372 lab manual which is sold in the University Bookstore.


ELEC 364: Signals and Systems II
Administrator: Tadeusz Obuchowicz Location: H831
Courses: ELEC 442  

The ELEC 364 labs introduce the students to the MATLAB programming context. MATLAB is a very porpular and widely used programming language used in a diverse range of scientific and engineering applications.  The objective of these labs are to provide an intrductory level of understanding of MATLAB for eventual use in other courses.  Genreral purpose PCs installed with the MATLAB software are used together with either the Linux or Windows operating systems.

 

 


ELEC 442: Digital Signal Processing
Administrator: Tadeusz Obuchowicz Location: H-861 (or any standard PC desktop lab)
Courses: ELEC 442  

This lab consists of sofware simulations performed using MATLAB. 


Electrical Power Engineering and Power Electronics Laboratory
Administrator: Chafic Brikho Location: H-943 and H-945
Courses: ELEC 331, ELEC 433, ELEC 440, ELEC 490 Phone:(514) 848-2424 ext. 3096

Electrical power engineering is the discipline that deals with the generation, distribution and transformation of electrical energy.  The power engineer may work with circuits that range from a large, high-voltage system such as that operated by Hydro-Quebec to a small battery-powered converter in a cell phone.  Other areas normally associated with electric power engineering are motors and generators, lighting, computer power supplies, electric vehicles and alternative power strategies - wind and solar power in particular.  The undergraduate electrical power engineering laboratory is operated in conjunction with the electrical engineering courses: Fundamentals of Electrical Power Engineering (ELEC 331) , Power Electronics (ELEC 433), and Controlled Electric Drives (ELEC 440).  Also, the laboratory facilities are used to support the Capstone, project-based course ELEC 490.  For those students who wish to become familiar with large ac systems  entry is possible to the Hydro-Quebec sponsored Institute for Electrical Power Engineering and the courses ELEC 430, ELEC 431, ELEC 432, ELEC 434, ELEC 435, ELEC 436, and ELEC 438.

ELEC 331. There are 5 laboratory experiments associated with the Fundamentals of Electrical Power Engineering course.  The topics include; 3 - phase ac power circuits, transformers,  synchronous machines,  induction machines and dc machines.  All experiments are performed on a test-bench that has the capability to capture the experimental results using a frequency analyzer and a phasor analyzer as well as the standard oscilloscope format.

ELEC 433. There are 5 laboratory experiments associated with the Power Electronics course.  The topics include; rectifiers, chopper circuits, inverter circuits and control of  machines.  In addition to the work normally done using the experimental apparatus, the performance of each circuit is analyzed using computer-based simulation software.

ELEC 440. There are 5 laboratory experiments associated with the course Controlled Electric Drives.  The parameters of a dc machine are determined and used to simulate the performance of the motor.  The dc motor is further simulated and operated using both a phase-controlled drive and a single-phase chopper drive with proportional-integral (PI) speed control.  Finally, an induction motor is supplied by a voltage-source inverter (VSI) and operated using a volts/frequency control scheme.  The models of the machines and the power circuits are simulated using computer-based software.  The simulation results are verified by observing the performance of the drive circuits using test equipment that includes an embedded controller,  an oscilloscope and a spectrum analyzer.

ELEC 490.  The Capstone Electrical Engineering Design Project is normally done in the  final-year.  A student who is interested in a project related to electrical power engineering may contact a professor associated with the electrical power group.

More information regarding the Electrical Power Engineering Laboratory can be found by contacting Mr. Woods at:  joe@ece.concordia.ca


Electronics laboratory
Administrator: Shailesh Prasad Location: H-855
Courses: ELEC 311 and ELEC 312 Phone:4106

This laboratory teaches the students to investigate circuits through simulation and experimentation. For simulation, the students use the student version of Pspice. The primary focus is to understand BJT and MOSFET based circuits. Some of the circuits that are assembled and simulated in ELEC 311 and 312 are, OPAMP, amplifiers, voltage regulators, current mirrors, current source, differential amplifiers, and power amplifier.
The lab manuals for these courses are available from the bookstore located in the EV building.
For both these courses there is a lab examination at the end of the session.


Filter Design Lab
Administrator: Shailesh Prasad Location: H-855
Courses: ELEC 441 Phone:4106

This lab is attached to ELEC 441 where the students learn about filter design. It consists of 5 lab sessions of which 3 are experimental and two are simulation.
The experimental part uses opamp LM741 and OTA LM 13700. The students test their cuircuits using digital oscilloscope. The simulation part uses Pspice and Hspice.

The lab manual for this course can be purchased at the Bookstore located in the LB building.


Linear System Lab
Administrator: Dan Li Location: H805-3
Courses: ELEC481  
This teaching lab is designed for ELEC481 "Linear System", which is provided by ECE department. Right now this lab has been equipped with 4 ECP educational control systems:
  1. ECP Model 220 - Servo Mechanism System
  2. ECP Model 505 - Inverted Pendulum
  3. ECP Model 205 - Torsional Mechanism
  4. ECP Model 730 - Magnetic Levitation 
All system components are made to the highest industrial standards. They have been proven to reliably stand up to many years of continual student use while providing high performance control and data processing. Advanced data processing and intuitive interface software let you immediately implement your control design - either ECP provided or your own - and characterize system behavior. Easy-to-follow instructions guide you through set-up, system operations. Sections on theory, experiments, and detailed instructor solutions greatly accelerate student learning . For more detailed information, you can visit ECP Systems website.

Microelectronics laboratory
Administrator: Shailesh Prasad Location: H007
Courses: ELEC 421, 422, 424 Phone:4106

This is a fabrication facility where the students can learn about fabrication of BJT and MOSFET. More information about the microelectronics laboratory and the equipments used can be found on my homepage.  http://users.encs.concordia.ca/~shailesh/

You can also find here the lab manuals for ELEC 421, 422, and 424.


Microwave Engineering
Administrator: Jeffrey Landry Location: H-853
Courses: ELEC 453, ELEC 456  

The microwave laboratory investigates phenomena and devices used in microwave engineering. Topics include:

  • waveguide properties
  • striplines and microstrips
  • microwave couplers and cavities
  • microwave filter design
  • antenna porperties

Network Lab
Administrator: Dan Li Location: H-919
Courses: ELEC 472, ELEC 465  
ECE Networks Laboratory is engaged in teaching and education in the areas of networks management, network security, wireless communication, quality of service, simulation, multimedia and more ... There are some advanced network equipments in the lab, such as six Cisco routers, one Cisco switch, one Myrinet switch, thirteen Windows/Linux workstations and three servers.

Optical Fiber Lab
Administrator: Shailesh Prasad Location: H-863
Courses: ELEC 425 Phone:4106

This facility is linked to ELEC 425. The students in this lab learn about optical fiber communication. They perform 5 experiments that involves fiber splicing, optical coupling (Unidirectional as well as bidirectional), coupling loss etc.

The lab manuals for this course can be found on my homepage.

http://users.encs.concordia.ca/~shailesh/


Real-time Control System Lab
Administrator: Dan Li Location: H-863
Courses: ELEC483  

The 2 Degrees of Freedom (2DOF) helicopter model is fixed to a vertically mounted shaft that is free to rotate to left and right giving it a degree of freedom in Yaw (changing of y, or direction). The vehicle is suspended in a frame on the vertical shaft so that it is free to swing up and down giving it a degree of freedom in Pitch (changing of q, or angle). [1]

For more information, you can visit  Quanser website


Real-time Embedded System Lab
Administrator: Dan Li Location: H-867
Courses: COEN 421  

Real-time System lab is made up of four sets of Qball system, which consists of real-time develop workstation, real-time target machine - single board computers (SMC) and Qball as a plant.

The lab is connected to real-timenetwork, which is supported by real-time management server, data server and QNX remote access servers.

More information can be found at ECE Real-time website.


Semiconductors & Devices
Administrator: Shailesh Prasad Location: H-861
Courses: ELEC 321 Phone:4106

This lab is a requirement for ELEC 321 where students learn about the electrical and material properties of semiconductors.
Three of the 5 lab sessions involve simulation type of experiments. The students learn to use Carine software which gives information about the crystalline properties of semiconductor. The remaining two lab sessions are equipment-based experiments where the students observe the absorption of light by semiconductors.The lab manual for this course is available for download on my homepage.

http://users.encs.concordia.ca/~shailesh/